Discuz! Board

 找回密碼
 立即註冊
搜索
熱搜: 活動 交友 discuz
查看: 106|回復: 0

Mentor Graphics HDL Designer V2004.1B 硬體描述語言 英文版

[複製鏈接]

0

主題

0

帖子

22

積分

管理員

Rank: 9Rank: 9Rank: 9

積分
22
發表於 2022-1-1 22:26:56 | 顯示全部樓層 |閱讀模式

點此購買





Mentor Graphics HDL Designer V2004.1B 硬體描述語言 英文版

Mentor Graphics HDL Designer V2004.1B 硬體描述語言 英文版 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
HoneRiSO Rip 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
軟體名稱: Mentor Graphics HDL Designer V2004.1B 
語系版本: 英文版 
光碟片數: 單片裝 
保護種類: 授權檔 
破解說明: 見最底下 
系統支援: Windows 2000/XP 
硬體需求: PC 
軟體類型: 硬體描述語言 
更新日期: 2005.03.13 
軟體發行: Mentor Graphics(Z.WT Working) 
官方網站: http://www.mentor.com 
中文網站: http://www.mentorg.com.tw/product/view.php?db=8&id=13&item=2 
軟體簡介: (以官方網站為準) 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
Mentor Graphics HDL Designer 設計製作和流程管理系列工具:HDL Designer 
Series 為提高設計效率和設計品質提供了無與倫比的靈活手段和功能。通過圖形 
化、文本或兩者的組合,結合IP的引入,快速高效的製作設計,HDL 視覺化和統一 
的 HDL 風格和檔案能力,版本管理為團隊設計提供了基礎,全面的 VHDL、 
Verilog 和 mixed-HDL 支援適應百萬門的 FPGA,ASIC和 SoC 設計。與模擬工具 
如 ModelSim 和綜合工具如 Precision 結合提供完整的 FPGA/ASIC 設計流程。 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
 
站長安裝測試環境與安裝說明: 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
‧測試環境 Windows 2000 Service Pack 4 繁體中文版、P4-2.8G 中央處理器、 
  256Mb 記憶體、NTFS 格式 80.0Gb 硬碟。 
 
‧使用光碟 setup.exe 安裝,安裝完成後把光碟 授權檔 資料夾底下 Keygen.exe 
  複製到 C:FlexLM(如沒有此資料夾就自行建立),再來執行 Keygen.exe 後會產 
  生一個 license.dat 授權檔。到控制台 => 系統 => 進階 => 環境變數 => 系 
  統變數 => 按新增鈕 => 填入以下資料,變數名稱填入 LM_LICENSE_FILE,變數 
  值填入 C:flexlmlicense.dat,記得按確定鈕保存設定 
 

-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
回復

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 立即註冊

本版積分規則

Archiver|手機版|小黑屋|DiscuzX

GMT+8, 2024-9-21 02:32 , Processed in 0.027704 second(s), 18 queries .

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回復 返回頂部 返回列表