Discuz! Board

 找回密碼
 立即註冊
搜索
熱搜: 活動 交友 discuz
查看: 97|回復: 0

X-HDL V3.2.55 Verilog VHDL 雙向轉換 英文精簡版

[複製鏈接]

0

主題

0

帖子

22

積分

管理員

Rank: 9Rank: 9Rank: 9

積分
22
發表於 2022-1-1 22:36:11 | 顯示全部樓層 |閱讀模式

點此購買



X-HDL V3.2.55 Verilog VHDL 雙向轉換 英文精簡版




X-HDL V3.2.55 Verilog VHDL 雙向轉換 英文精簡版 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
HoneRiSO Rip 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
軟體名稱: X-HDL V3.2.55 
語系版本: 英文精簡版 
光碟片數: 單片裝 
保護種類: 授權檔 
破解說明: 見最底下 
系統支援: Windows 98/ME/NT/2000/XP/LINUX/SOLARIS 
硬體需求: http://www.x-tekcorp.com/xhdl.php 
軟體類型: Verilog VHDL 雙向轉換 
更新日期: 2006.01.02 
軟體發行: X-Tek(D.IGERATI) 
官方網站: http://www.x-tekcorp.com/xhdl.php 
中文網站: 無 
軟體簡介: (以官方網站為準) 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
X-HDL 是一套 VHDL 與 Verilog 語言翻譯器。可達成 VHDL 和 Verilog 語言的相 
互智慧型化轉換。 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
 
站長安裝測試環境與安裝說明: 
-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
‧測試環境 Windows XP Service Pack 1 繁體中文專業版、P4-2.8G 中央處理器 
  、512Mb 記憶體、NTSF 格式 80.0Gb 硬碟。 
 
‧使用 Autorun.exe 安裝,安裝完成後把光碟 授權檔 資料夾裡的 license.dat 
  檔案複製到 X-HDL 安裝完成後的資料夾裡去,不知道該複製到哪裡去的話,預設 
  位置是在 C:Program Filesxtekxhdl3 
 

-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=
回復

使用道具 舉報

您需要登錄後才可以回帖 登錄 | 立即註冊

本版積分規則

Archiver|手機版|小黑屋|DiscuzX

GMT+8, 2024-9-21 18:57 , Processed in 0.027424 second(s), 18 queries .

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回復 返回頂部 返回列表